|
|
1 module system_coverage();
2
3 reg clk, reset,enable;
4
5 reg [3:0] cnt;
6
7 always @ (posedge clk)
8 if (reset)
9 cnt <= 0;
10 else if (enable)
11 cnt <= cnt + 1;
12
13 initial begin
14 // Disable coverage before reset
15 $coverage_control(`SV_COV_STOP,`SV_COV_STATEMENT,`SV_COV_HIER,$root);
16 clk <= 0;
17 reset <= 1;
18 enable <= 0;
19 $monitor("Count %0d",cnt);
20 repeat (4) @ (posedge clk);
21 reset <= 0;
22 // Enable coverage after reset
23 $coverage_control(`SV_COV_START,`SV_COV_STATEMENT,`SV_COV_HIER,$root);
24 enable <= 1;
25 repeat (4) @ (posedge clk);
26 enable <= 0;
27 #4 $finish;
28 end
29
30 always #1 clk = ~clk;
31
32 endmodule
You could download file system_coverage.sv here
|